mighttpd2

File/CGI web server on Warp

View the Project on GitHub kazu-yamamoto/mighttpd2

  • Installation
  • Configration
  • Operation
  • About

    Mighttpd2 (called mighty) is a simple but practical HTTP server written in Haskell. It handles static files and CGI scripts. It also provides a feature of reverse proxy and URL rewriting with HTTP redirect.

    Mighttpd2 is now implemented as a WAI application using the high-performance HTTP engine, Warp. To httperf Ping-Pong benchmark, the performance of Mighttpd2 is similar to that of nginx.

    mew.org is provided with Mighttpd2.

    Features

    Technical slides and articles